(디지털)"스크립트

O

ouahhabi5

Guest
난 바보 같은 질문이지만 이런 생각에 그냥 bigginer 오전 알아
that actions :

난은 Tcl 스크립트 언어로

쓰고 싶어요 그 작업 :
- 재설정 디자인
- ceation 시계
- CLK 포트를 제외하고 입력 포트를 강제로
- 출력 포트를 강제로
- 어떤 기술 서점에서 지정할 수있습니다 작동 조건을 채택
wire_load_model의 자동 선택
- CLK ()를 제외하고 입력에 driving_cell 정의
- 입력 포트에서 최대 커패시턴스를 정의
- 출력 포트의 용량성 부하를 정의spefications 위치 :
주파수 클럭 200 MHz의 (5ns)
- 작동 상태를 알아보려면 représenté 드 라 Libraire core_slow.db (1.62V, 125 ° C의)
- Wire_load_model 자동 선택
- 입력에 대한 제약 시계 기간의 80 %
- 출력 클럭 기간의 20 %에 제약
- 셀 1 T는 핀 T는 질문 마 T는 f를 입력 님의 f를 1 수유
입력 5 티셔츠 - 용량 최대 2 핀 1 T는 T는 T는
- 블록을 출력 3와 함께 제공된의 전화 번호난 그냥 이렇게 쓴 lignes :
reset_design
get_ports create_clock 기간 5 이름 myclk [CLK]
set_input_delay 1 최대 클럭 myclk [remove_from_collection [all_ 입력] [CLK get_ports]
set_output_delay 1 - 맥스만약 올바른 나에게 PLZ 말해, 내가 어떤 사람에 대한 스크립트 덕분에 계속하는 데 도움이 필요합니다

 
Firma Hynix, drugi na świecie producent pamięci RAM, ogłosiła, że w drugiej połowie roku rozpoczyna masową produkcję tych pamięci w technologii 20 nm. To pozwoli firmie lepiej zaspokajać potrzeby rynku pamięci high-end. Do tej pory najbardziej zaawansowaną technologią DRAM dostępną dla Hynix'a była 25 nm. Nowy proces produkcji pozwoli wyprodukować...

Read more...
 
여기가는 그것의 직류 교육 자료에서!
직류 traininng 좋은 참고 자료를 통해 UR 동안 스크립트를 작성합니다!

코드 :

사용자 만들기 # 변수 정의

CLK_PORT 세트 [get_ports CLK]

CLK_PERIOD 4.0 설정

WC_SKEW 0.25 설정

DRV_CELL buf1a3 설정

Y "는 DRV_PIN"로 설정

설정 OUTPUT_LOAD [load_of ssc_core_slow/buf1a2/A]

INPUT_DELAY 2.0 설정

OUTPUT_DELAY 2.0 설정

설정 ALL_INS_EX_CLK \

[remove_from_collection [all_inputs] [CLK get_ports]# 시작 unconstrainted 디자인

reset_designset_operating_conditions - 최대 slow_125_1.62create_clock 기간 $ CLK_PERIOD 이름 my_clk $ CLK_PORTset_dont_touch_network [get_clocks my_clk]set_clock_uncertainty $ WC_SKEW [my_clk get_clocks]set_driving_cell - DRV_CELL $ lib_cell - $ DRV_PIN ALL_INS_EX_CLK $ 핀$ OUTPUT_LOAD set_load [all_outputs]set_input_delay $ INPUT_DELAY - 최대 24 시간 my_clk $ ALL_INS_EX_CLKset_output_delay $ OUTPUT_DELAY - 최대 24 시간 my_clk [all_outputs]

 
thanks you very much realy i dont know what can i do without this forum.

이렇게 당신은 매우 큰 도움이되고 당신은 매우 많이 정말 내가 뭘은이 포럼을하지 않고 할 수있는 잘 모릅니다 nand_gates

감사합니다.can you tell more about DC training material
and if you have it can you give it to me.

만약 당신이 그것을 당신이 내게 줄 수있는 PLZ nand_gates
더 많은 직류 교육 자료에
대해 말할 수있습니다.또 다시 [/ img 감사합니다]

 

Welcome to EDABoard.com

Sponsor

Back
Top