NCLaunch 도움

J

jitendra

Guest
안녕 모두,
내가 케이던스 도구에 새로운 오전과 노스캐롤라이나 좀 도와 - VHDL 시뮬레이터 필요합니다.macro files to compile multiple files and performing some time consuming repeatative tasks and run this macro using command do *.do
.

ModelSim에 난 기사를 쓰는 *. 매크로 파일을
여러 개의 파일을 컴파일하고 실행 명령을 사용하여이 매크로 repeatative 작업과 시간이 소모되는 일부 실적이 사용 할 *. 않습니다.

노스캐롤라이나에서 어떻게 - VHDL 시뮬레이터 같은 작업을
할 수 있습니까?난 100 VHDL 파일을 컴파일해야 말해봐.
당신의 도움은 매우 감사합니다.
감사합니다,
Jitendra

 
파일에있는 모든 소스 파일의 위치를 써주세요.
및 UNIX 프롬프트에 입력한 다음 ncverilog - f 옵션 "Filelist"

 
고마워요, whizkid
여러 개의 파일을 컴파일하는 방법이 작동합니다.
난 당신에 대한 모든 솔루션을 제공하기 위해, 내가 원하는 말 : 요청

1.100 파일을 컴파일합니다.
2.내 디자인 정교한
3.스냅샷을
시뮬레이션할
내가 하나의 파일 (매크로)에 몇 가지 명령을 writting에 의해 이러한 단계를 모두 할 수 있을까요?

감사합니다,
Jitendra

 
난 NCsim GUI를 가지고있는, 웨이브폼 뷰어를 제외한 근무 havent.

잘 ..나는 항상 신호를 덤프합니다. TRN 형식 (당신, $ recordvars, $ recordfile taks 사용하여 몇 줄의 testbench에 추가하여) 그것을 할 수 있고 그것을보기로 신호를 사용하여 스캔 ..

 
jitendra 썼습니다 :

안녕 모두,

내가 케이던스 도구에 새로운 오전과 노스캐롤라이나 좀 도와 - VHDL 시뮬레이터 필요합니다.

macro files to compile multiple files and performing some time consuming repeatative tasks and run this macro using command do *.do
.
ModelSim에 난 기사를 쓰는 *. 매크로 파일을
여러 개의 파일을 컴파일하고 실행 명령을 사용하여이 매크로 repeatative 작업과 시간이 소모되는 일부 실적이 사용 할 *. 않습니다.노스캐롤라이나에서 어떻게 - VHDL 시뮬레이터 같은 작업을 할 수 있습니까?
난 100 VHDL 파일을 컴파일해야 말해봐.

당신의 도움은 매우 감사합니다.

감사합니다,

Jitendra
 
jitendra 썼습니다 :

난 당신에 대한 모든 솔루션을 제공하기 위해, 내가 원하는 말 : 요청1.
100 파일을 컴파일합니다.

2.
내 디자인 정교한

3.
스냅샷을 시뮬레이션할내가 하나의 파일 (매크로)에 몇 가지 명령을 writting에 의해 이러한 단계를 모두 할 수 있을까요?감사합니다,

Jitendra
 
감사합니다 Ajeetha,
하지만 윈도우에서 실행하고있습니다.
사실은 VHDL의 집합을 컴파일합니다 매크로를 쓰고 싶어요에 따라 인수를 전달합니다.
인수 이내는 일부 파일이나 안 (일부 파일의 날씨를 결정하는 옵션을 컴파일하는 시뮬레이션의 특정 모드에 대한)가 필요하지 않습니다.

덕분에 Elektor하지만 "입력"또는 "소스"명령과 함께 인수를 지정할 수없습니다.감사합니다,
Jitendra

 
jitendra 썼습니다 :

감사합니다 Ajeetha,

하지만 난 windows.Thanks에, 실행

Jitendra
 
안녕,
누구 specificaly '에 초점을 맞출 수있습니다 몇 가지 스냅샷'.

사전에 감사합니다.

 
어쩌면 당신 Cshell을 사용하려고 할 수있습니다 ...

#!/ 빈 / csh

ncvhdl - a.vhd 업데이트
..
..
..
ncvhdl - b.vhd 업데이트ncelab $ 1
$ 1 ncsim

(끝)

실행이 스크립트 이름.

다음 "실행 AAA"괜찮습니다 ...

 

Welcome to EDABoard.com

Sponsor

Back
Top