D
dcreddy1980
Guest
안녕하세요,
내가 constraining에 stnthesis의 doubht을 가지고 ... 특히 놔둘까요 plz가 없습니다 어떤 실수는 우리가 필요로 클럭 대기 시간을 위해 입 / 출력 지연이나 .. 아니 하나의 경우 아래의 방법이 맞는지 ...... 또는 알려줘 :첫 번째 방법 :
DESIGN_NAME = "임시"
DESIGN_NAME을 current_design
/ * 변수 * /
CLK_PERIOD = 2.0
CLK_PERIODH = 1.2
불확도 = 0.1
지연 = 0.6
uniquify
링크/ * 시계 * /
create_clock - P는 CLK_PERIOD - n을 CLK - 파형 CLK_PERIODH (0)) 발견 (포트, CLK
set_clock_latency이 지연) 발견 포트, CLK (
set_clock_uncertainty은 불확실성) 발견 포트, CLK (
set_dont_touch_network의 CLK/ * set_max_transition 번호 * /
set_max_transition 0.25 DESIGN_NAME
/ * 초기화 * /
set_false_path - rst_b에서
set_dont_touch_network)이 발견 포트를 rst_b (
/ * * 지연 추적을 가정합니다 300pS를위한 시계 -> 15pS 및 출력 /
set_input_delay - 시계 clk_i 0.315 대기 시간)이 발견 포트, 아무것도 (
set_input_delay - 시계 clk_i 0.315 대기 시간)이 발견 빈 (포트를,
/ * * 지연 추적을 가정합니다 300pS를위한 시계 - 180pS 출력> 수준의 50nS 및 논리 /
set_input_delay - 시계 clk_i 0.430 대기 시간)이 발견 착 (포트를,
set_input_delay - 시계 clk_i 0.430 대기 시간)이 발견 귀를 멍멍하게하다 (포트를,
/ * * 지연 추적을 가정합니다 300pS를위한 시계 - 480pS 출력> 수준의 50nS 및 논리 /
set_input_delay - 시계 clk_i 0.670 대기 시간)이 발견 EIN이 (포트를,
/ * * 지연 추적을 가정합니다 300pS를위한 시계 -> 150pS 및 출력 /
set_input_delay - 시계 clk_i 0.450 대기 시간)이 발견 지느러미 (포트를,
/ * 설정 모든 출력의 지연 * /
set_output_delay - 시계 clk_i 0.350 대기 시간)이 발견 포트를 뿌루퉁해 (
set_output_delay - 시계 clk_i 0.350 대기 시간)이 발견 포트를 qout (
/ * 지역 제약 * /
set_max_area 0----------------------------------------------
두 번째 방법 ESIGN_NAME = "임시"
DESIGN_NAME을 current_design
/ * 변수 * /
CLK_PERIOD = 2.0
CLK_PERIODH = 1.2
불확도 = 0.1
지연 = 0.6
uniquify
링크/ * 시계 * /
create_clock - P는 CLK_PERIOD - n을 clk_i - 파형 CLK_PERIODH (0)) 발견 (포트, clk_i
set_clock_latency이 지연) 찾을 수 clk_i (포트를,
set_clock_uncertainty은 불확실성) 찾을 수 clk_i (포트를,
set_dont_touch_network의 clk_i
/ * 가상 클럭 * /
create_clock - P를 CLK_PERIOD - n을 clk_v - 파형 (0) CLK_PERIODH
set_clock_latency이 지연) 발견 시계, clk_v (
set_clock_uncertainty은 불확실성) 발견 시계, clk_v (
set_dont_touch_network)이 발견 시계, clk_v (
/ * set_max_transition 번호 * /
set_max_transition 0.25 DESIGN_NAME
/ * 초기화 * /
set_false_path - rst_b에서
set_dont_touch_network)이 발견 포트를 rst_b (
/ * * 지연 추적을 가정합니다 300pS를위한 시계 -> 15pS 및 출력 /
set_input_delay - 시계 clk_v)는 아무것도 0.315 (포트를 찾을 수
set_input_delay - 시계 clk_v)는 빈 0.315, 찾기 (포트를
/ * * 지연 추적을 가정합니다 300pS를위한 시계 - 180pS 출력> 수준의 50nS 및 논리 /
set_input_delay - 시계 clk_v)는 착 0.430, 찾기 (포트를
set_input_delay - 시계 clk_v)는 딘의 0.430, 찾기 (포트를
/ * * 지연 추적을 가정합니다 300pS를위한 시계 - 480pS 출력> 수준의 50nS 및 논리 /
set_input_delay - 시계 clk_v)는 EIN이 0.670, 찾기 (포트를
/ * * 지연 추적을 가정합니다 300pS를위한 시계 -> 150pS 및 출력 /
set_input_delay - 시계 clk_v)는 지느러미 0.450, 찾기 (포트를
/ * 설정 모든 출력의 지연 * /
set_output_delay - 시계 clk_v)가 삐죽 0.350 (포트를 찾을 수
set_output_delay - 시계 clk_v)는 qout 0.350 (포트를 찾을 수
/ * 지역 제약 * /
set_max_area 0
감사합니다
Chaitanya
내가 constraining에 stnthesis의 doubht을 가지고 ... 특히 놔둘까요 plz가 없습니다 어떤 실수는 우리가 필요로 클럭 대기 시간을 위해 입 / 출력 지연이나 .. 아니 하나의 경우 아래의 방법이 맞는지 ...... 또는 알려줘 :첫 번째 방법 :
DESIGN_NAME = "임시"
DESIGN_NAME을 current_design
/ * 변수 * /
CLK_PERIOD = 2.0
CLK_PERIODH = 1.2
불확도 = 0.1
지연 = 0.6
uniquify
링크/ * 시계 * /
create_clock - P는 CLK_PERIOD - n을 CLK - 파형 CLK_PERIODH (0)) 발견 (포트, CLK
set_clock_latency이 지연) 발견 포트, CLK (
set_clock_uncertainty은 불확실성) 발견 포트, CLK (
set_dont_touch_network의 CLK/ * set_max_transition 번호 * /
set_max_transition 0.25 DESIGN_NAME
/ * 초기화 * /
set_false_path - rst_b에서
set_dont_touch_network)이 발견 포트를 rst_b (
/ * * 지연 추적을 가정합니다 300pS를위한 시계 -> 15pS 및 출력 /
set_input_delay - 시계 clk_i 0.315 대기 시간)이 발견 포트, 아무것도 (
set_input_delay - 시계 clk_i 0.315 대기 시간)이 발견 빈 (포트를,
/ * * 지연 추적을 가정합니다 300pS를위한 시계 - 180pS 출력> 수준의 50nS 및 논리 /
set_input_delay - 시계 clk_i 0.430 대기 시간)이 발견 착 (포트를,
set_input_delay - 시계 clk_i 0.430 대기 시간)이 발견 귀를 멍멍하게하다 (포트를,
/ * * 지연 추적을 가정합니다 300pS를위한 시계 - 480pS 출력> 수준의 50nS 및 논리 /
set_input_delay - 시계 clk_i 0.670 대기 시간)이 발견 EIN이 (포트를,
/ * * 지연 추적을 가정합니다 300pS를위한 시계 -> 150pS 및 출력 /
set_input_delay - 시계 clk_i 0.450 대기 시간)이 발견 지느러미 (포트를,
/ * 설정 모든 출력의 지연 * /
set_output_delay - 시계 clk_i 0.350 대기 시간)이 발견 포트를 뿌루퉁해 (
set_output_delay - 시계 clk_i 0.350 대기 시간)이 발견 포트를 qout (
/ * 지역 제약 * /
set_max_area 0----------------------------------------------
두 번째 방법 ESIGN_NAME = "임시"
DESIGN_NAME을 current_design
/ * 변수 * /
CLK_PERIOD = 2.0
CLK_PERIODH = 1.2
불확도 = 0.1
지연 = 0.6
uniquify
링크/ * 시계 * /
create_clock - P는 CLK_PERIOD - n을 clk_i - 파형 CLK_PERIODH (0)) 발견 (포트, clk_i
set_clock_latency이 지연) 찾을 수 clk_i (포트를,
set_clock_uncertainty은 불확실성) 찾을 수 clk_i (포트를,
set_dont_touch_network의 clk_i
/ * 가상 클럭 * /
create_clock - P를 CLK_PERIOD - n을 clk_v - 파형 (0) CLK_PERIODH
set_clock_latency이 지연) 발견 시계, clk_v (
set_clock_uncertainty은 불확실성) 발견 시계, clk_v (
set_dont_touch_network)이 발견 시계, clk_v (
/ * set_max_transition 번호 * /
set_max_transition 0.25 DESIGN_NAME
/ * 초기화 * /
set_false_path - rst_b에서
set_dont_touch_network)이 발견 포트를 rst_b (
/ * * 지연 추적을 가정합니다 300pS를위한 시계 -> 15pS 및 출력 /
set_input_delay - 시계 clk_v)는 아무것도 0.315 (포트를 찾을 수
set_input_delay - 시계 clk_v)는 빈 0.315, 찾기 (포트를
/ * * 지연 추적을 가정합니다 300pS를위한 시계 - 180pS 출력> 수준의 50nS 및 논리 /
set_input_delay - 시계 clk_v)는 착 0.430, 찾기 (포트를
set_input_delay - 시계 clk_v)는 딘의 0.430, 찾기 (포트를
/ * * 지연 추적을 가정합니다 300pS를위한 시계 - 480pS 출력> 수준의 50nS 및 논리 /
set_input_delay - 시계 clk_v)는 EIN이 0.670, 찾기 (포트를
/ * * 지연 추적을 가정합니다 300pS를위한 시계 -> 150pS 및 출력 /
set_input_delay - 시계 clk_v)는 지느러미 0.450, 찾기 (포트를
/ * 설정 모든 출력의 지연 * /
set_output_delay - 시계 clk_v)가 삐죽 0.350 (포트를 찾을 수
set_output_delay - 시계 clk_v)는 qout 0.350 (포트를 찾을 수
/ * 지역 제약 * /
set_max_area 0
감사합니다
Chaitanya