플로트

O

omidsht

Guest
안녕하세요,
내가 VHDL에 고정 번호 () synthesible 수 일한
적이있어서 ModelSim
난 floatfixlib (math_utility_pkg에있는 3 파일을 컴파일에 fixed_pkg, float_pkg) 새 lib에 라이브러리 이름을 ieee_proposed 싶었어요.
그럼 난 다음 코드를
썼습니다 :

도서관은 IEEE;
도서관 ieee_proposed;

사용 ieee.std_logic_1164.all;
사용 ieee.numeric_std.all;
사용 ieee_proposed.math_utility_pkg.all;
사용 ieee_proposed.fixed_pkg.all;엔티티 a_ent입니다
포트 :) std_logic : = '0 ';
b : std_logic : = '0 ';
참조 : std_logic_vector (7 downto 0)
);
최종 엔티티 a_ent;

a_ent의 건축물 a_arch입니다

신호에 c : std_ulogic_vector (11 downto 0);
신호 o :
메시지 ufixed (8 downto -3);
신호 int : 정수 범위
0-125 : = 10;
시작프로세스는 (a)

변수에서 A1 : 정수 범위는 0에서 125 : = 10;
변수 대답 2 : 정수 범위는 0에서 255까지;
변수 대답 3 : std_logic_vector (7 downto 0);
변수 대답 : std_logic_vector (7 downto 0);

시작
O를 <=
(A1을, 8, -3) to_ufixed;
엔드 프로세스;엔드 아키텍처 a_arch;하지만, 내가 그 3 오류 gets 레오나르도와 함께 합성하고 싶지 :

오류, ufixed 안 알려진 타입이다.
오류, ufixed
0 지수 값이 필요합니다.
오류, ambigious 신호를 할당합니다.

내가 무슨 짓을 할까?

고급, sharifi tehrani
Omid는에 감사
 

Welcome to EDABoard.com

Sponsor

Back
Top