자일링스 시스템 제너레이터 도와주세요!!

G

Guest

Guest
알 - Salamo 3alaikom 모두들,

내가 자일링스의 평가 버전과 함께 일하고
있어요 SysGen 시뮬레이션을위한 시스템을 구축했다.
그래서 내가 거기에있는 ROM이되고있는 것으로 나타났습니다 자일링스 Blockset 내가 봐도 - 테이블을 추가해야합니다.
이 모든 게 다있다는 입력 주소 버스와 데이터를 출력 버스입니다.

문제는이
ROM에 액세스하는 방법을 위해서는 데이터를 메모리에 저장된 위치의 변경을 찾을 수 없다는 것입니다!!

그 누구도 날이 문제를 해결하는 데 도움이 될까요?!

그것은 32 비트 와이드의 위치가 포함된 16 개, 그래서
제 4 입력 비트의 출력으로 이에 상응하는 어떠한 조합을위한 32 비트 얻을한다.

사전에 감사합니다

살람.

 
XXI wiek przyniósł nam wiele przydatnych urządzeń, bez których dziś nie wyobrażamy sobie życia. Jednym z najbardziej docenianych jest telefon komórkowy, który na przestrzeni wieloletnich zmian, stał się wielofunkcyjnym smartfonem. Jego funkcja jest bardzo zbliżona do tej jaką pełni komputer.

Read more...
 
안녕 아메드 Ragab,

이건 당신이 ROM의 내용을 변경하려면, 그건 불가능한 일이야 싶어 ROM을위한 것으로 보인다.만약

당신이 당신의 RAM을 사용하거나 ROM을위한 초기 파일을 변경해야 할 싶어요.

 
고맙 Wadaye, 내가 뭘해야할지, ROM의 초기 파일을 변경할 필요가있다.
어떻게 얻을 수 있을까?!

 
당신이나 ROM의 데이터를 변경하기만하면 데이터를 초기화 ROM을 원하십니까?으로 말한다 wadaye 다음 램 수 있기 때문에, 그것을 ROM에서 데이터를 변경, 이해가되지 않습니다.

the ROM을 초기화하려면 :
- 귀하의 다이어그램 : 자일링스 Blockset -> 메모리
-> ROM의 블록을 추가합니다.
- 더블 - 클릭하여 새로운 ROM을 차단할 수있습니다.대화 상자가 열립니다.
- 수정 "초기 값 벡터"죄악과 같은 초기화 방정식 (파이 * (0:15) / 16)
- 변경 "제 나름대로"32, 아마 필요에 따라 다른 설정을 변경할 수있습니다.
echo47하여 2005년 11월 18일 16:05에 편집한 마지막;에서 편집한 1 시간 총

 
것이 처음 "쓰기"에 의해 그것에
'0 '과 것들 내가 선택할의 시퀀스는 ROM의 메모리 위치를 초기화 할 수?대신 방정식으로 쓰는가?!

지금까지 내가 뭘했다 echo47 이해하고 내가 할 수 없다는 데 동의합니다 ""ROM에 쓰기

<img src="http://www.edaboard.com/images/smiles/icon_biggrin.gif" alt="매우 행복" border="0" />그래서 아직도 에코, 당신은이 문제에 대한 해결책을 찾았 느냐?!

사전에 모두 감사합니다.

살람

 
당신은 그 사람과 0의 패턴과 ROM을 초기화 MATLAB 표현 쓸 수있습니다.표현도 간단 매트릭스 또는 읽기 - 데이터 -에서 - dlmread
등과 같은 기능은 파일이 될 수있습니다.난 그 "초기 값 벡터"정말 긴 문자열 필드에두고있다.

자일링스는 FPGA의 한 블록 ROM과 ROM을 블록으로 구현된다.후 초기화되었습니다 FPGA를, 그래서 당신이 그것에 합당한 그 시뮬도 쓸 수있는 방법을 제시하지 않는 것 한 블록 ROM과로 작성하는 방법을 제공합니다.

 
ROM에 .... 당신이 쓸 수있는 모든 단어를 갖고 ". COE"파일을 작성하여 ROM을 초기화할 수있습니다ROM을 생성하는 동안 coregen에서이 파일을 포함시킬 수있습니다 ...

". COE"의 형식은 다음과 같이해야한다 :

MEMORY_INITIALIZATION_RADIX = (2,16, 10);
MEMORY_INITIALIZATION_VECTOR =

word0,
단어,
word2,
.
.
.
단어;

 
문제는 메신저가 직면하고 동일합니다 ...나는 그 날 시뮬에 해당하는 각 주소 .... 주소와 데이터를 내 ROM을 초기화 수 있도록 옵션이 / sysgen 찾아가능하지 않은 경우는 다음 중 하나를 디자인하는 방법을 시뮬레이션하는 것이 초기화
ROM을 사용하여 ...이 코드를

인용구 :

". COE"의 형식은 다음과 같이해야한다 :MEMORY_INITIALIZATION_RADIX = (2,16, 10);

MEMORY_INITIALIZATION_VECTOR =
 
ROM의 블록에서 eqation주고, insted
당신, 대괄호로 구분된에서 데이터를 입력하실 수있습니다
예 :를 [x, y, z는].

 
터무니없이 kavitha ...나도 노력했다

인용구 :

당신, 대괄호로 구분된에서 데이터를 입력하실 수있습니다

예 :를 [x, y, z는].
 
안녕하세요, ... 나는 시스템 Genetaror에있는 AM 송신기와 수신기 및
SFF SDR 개발 플랫폼을 구현을 위해 노력하고 ... 난 내 모델 만들기에 관한 많은 문제에 직면 오전, ... 거기 아무도 거기에있다 도와주세요

<img src="http://www.edaboard.com/images/smiles/icon_sad.gif" alt="슬픈" border="0" />
 
안녕 aliumair, 나도
SDR 기반 시스템 개발 .... MATLAB 발전기에서 일하고 있어요하지만, 이러한 요청, FSK, PSK, QPSK로 대신 디지털 변조 오전에만의 FM designin 아니에요.나의 현재 프로젝트 QPSK이다.우리 둘 다 더 많은 이득을 공유할 수있습니다과 상호 공유할 수있을 것 같아요 .... 원한다면 당신, 내가 뭘 알아 또는 시스템에 leanrt - gen 시뮬 링크를 사용하게된다 .....
감사합니다.,

 

Welcome to EDABoard.com

Sponsor

Back
Top