A
aris12
Guest
안녕하세요 모두들
내가 VHDL 새로운거야 그리고 난 후 디 12 사이클 후에 신호가 활성화 노력하고있어 - 다시 활성화합니다.예를 들어,
시작 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 엔드
난, ModelSim에서 이러한 오류가
오류 : 삽입사 연산자에 대한 실현 emtries " ".
오류 : 입력 오류 "삽입사 표현을 해결 "를 입력 ieee.std_logic_1164.std_logic_vector합니다.
사람이 무엇을 pls 일이에요 내가 어떻게 그것을 고칠 수 없다는 걸 알 수 있나요?코드 :
라이브러리는 IEEE;
사용 ieee.std_logic_1164.all;
사용 ieee.numeric_std.all;- =============== 인터페이스에 대한 설명 ===============엔티티 COUNTER_DEC입니다포트 (클럭 : std_logic에; - 긍정적인 첨단
리셋 : std_logic에;시작 : std_logic에; - 시작하는 프로세스를done_count : 아웃 std_logic - 프로세스의 종료);
최종 COUNTER_DEC;건축 COUNTER_DEC_RTL COUNTER_DEC입니다- =============== 신호 =============== 정의신호 tmp에 : std_logic;
활성 신호가 : std_logic;
신호 카운터 : std_logic_vector (3 downto 0);- =============== 데이터 이동 ===============시작START_COUNTER : (시계, 재설정) 프로세스시작만약 리셋 = '1 '을 선택한 다음
카운터 "= (다른 분들에게도 ="'0 ');
tmp를 "= '0 ';
활성 "= '0 ';elsif (시작 = '1 '또는 활성화 = '1') 다음만약 clock'event 및 클럭 = '1 '을 선택한 다음
카운터 "= (카운터 '1 ');
그 밖의
카운터 "= 카운터;
tmp를 "= tmp를;
최종면;
활성 "= 활성 xor 시작;
그 밖의
카운터 "="0000 ";
tmp를 "= '0 ';
활성 "= '0 ';
최종면;만약 카운터 = "1100"그때
tmp를 "= '1 ';
카운터 "="0000 ";
활성 "= '0 ';
그 밖의
tmp를 "= '0 ';
최종면;
done_count "= tmp를;최종 처리;최종 COUNTER_DEC_RTL;
내가 VHDL 새로운거야 그리고 난 후 디 12 사이클 후에 신호가 활성화 노력하고있어 - 다시 활성화합니다.예를 들어,
시작 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 엔드
난, ModelSim에서 이러한 오류가
오류 : 삽입사 연산자에 대한 실현 emtries " ".
오류 : 입력 오류 "삽입사 표현을 해결 "를 입력 ieee.std_logic_1164.std_logic_vector합니다.
사람이 무엇을 pls 일이에요 내가 어떻게 그것을 고칠 수 없다는 걸 알 수 있나요?코드 :
라이브러리는 IEEE;
사용 ieee.std_logic_1164.all;
사용 ieee.numeric_std.all;- =============== 인터페이스에 대한 설명 ===============엔티티 COUNTER_DEC입니다포트 (클럭 : std_logic에; - 긍정적인 첨단
리셋 : std_logic에;시작 : std_logic에; - 시작하는 프로세스를done_count : 아웃 std_logic - 프로세스의 종료);
최종 COUNTER_DEC;건축 COUNTER_DEC_RTL COUNTER_DEC입니다- =============== 신호 =============== 정의신호 tmp에 : std_logic;
활성 신호가 : std_logic;
신호 카운터 : std_logic_vector (3 downto 0);- =============== 데이터 이동 ===============시작START_COUNTER : (시계, 재설정) 프로세스시작만약 리셋 = '1 '을 선택한 다음
카운터 "= (다른 분들에게도 ="'0 ');
tmp를 "= '0 ';
활성 "= '0 ';elsif (시작 = '1 '또는 활성화 = '1') 다음만약 clock'event 및 클럭 = '1 '을 선택한 다음
카운터 "= (카운터 '1 ');
그 밖의
카운터 "= 카운터;
tmp를 "= tmp를;
최종면;
활성 "= 활성 xor 시작;
그 밖의
카운터 "="0000 ";
tmp를 "= '0 ';
활성 "= '0 ';
최종면;만약 카운터 = "1100"그때
tmp를 "= '1 ';
카운터 "="0000 ";
활성 "= '0 ';
그 밖의
tmp를 "= '0 ';
최종면;
done_count "= tmp를;최종 처리;최종 COUNTER_DEC_RTL;