G
Guest
Guest
시도 안녕 난 한때는이 코드를 시뮬레이트하기 위해 ()에서 rtus 시뮬레이션 도구지만, 카운터 문자를 내게주고있다.
나는 위선적인 말투의 그림 밖으로 잘못 무슨.
도와 주시기 바랍니다.
도서관의 IEEE;
를 사용 ieee.std_logic_1164.all;
를 사용 ieee.std_logic_arith.all;
를 사용 ieee.std_logic_unsigned.all;
를 사용 ieee.numeric_std.all;
-
- 사용 work.SinglePortRAM_pack.all;
엔티티 test5 IS
포트 (
CLK : 인디애나 std_logic;
- Pb0a : 인디애나 std_logic;
Pb1a : 인디애나 std_logic;
- led0a : 출력 std_logic;
- led1a : 아웃 std_logic;
- led2a : 아웃 std_logic;
- led3a : 아웃 std_logic;
- led4a : 아웃 std_logic;
- led5a : 아웃 std_logic;
- led6a : 아웃 std_logic;
- led7a : 아웃 std_logic;
- dac_output : 아웃 std_logic_vector (7 downto 0)
sine_output : 아웃 std_logic_vector (7 downto 0)
);
끝 test5;아키텍처 test5의 힘도
- 유형 바이트)입니다 std_logic_vector (7 downto 0;
- 신호 cnt : std_logic_vector (2 downto 0) : = "001";
- 신호 dac_cnt_1 : std_logic_vector (7 downto 0) : = "00000000";
- 신호 edge_sig : std_logic_vector (1 downto 0) : = (기타 => '1 ');
- 신호 cnt2 : std_logic_vector (23 downto 0) : = (기타 => '0 ');
- 신호 Level1, level2, level3 : std_logic;
신호 n_reset : std_logic;
- 신호 LUT : 배열 (0 256) 바이트의;
- 유형 국가입니다 : (질문 1, 질문, 질문 4 분기,); - std_logic_vector의 (7 downto 0);
유형 STATE_TYPE은)입니다 (질문, 질문, 질문, 질문;
신호가 current_state : STATE_TYPE을;
입력 테이블)입니다 배열 (0-127) 검색 std_logic_vector (7 downto 0;
신호 dac_cnt : 자연 범위 0-256; - 정수
신호 LUT : 테이블 : =
(
0 => "00000000",
1 => "00000010",
2 => "00000011",
3 => "00000101",
4 => "00000110",
5 => "00001000",
6 => "00001001",
7 => "00001011",
8 => "00001100",
9 => "00001110",
10 => "00010000",
11 => "00010001",
12 => "00010011",
13 => "00010100",
14 => "00010110",
15 => "00010111",
16 => "00011001",
17 => "00011010",
18 => "00011100",
19 => "00011101",
20 => "00011111",
21 => "00100000",
22 => "00100010",
23 => "00100011",
24 => "00100101",
25 => "00100110",
26 => "00101000",
27 => "00101001",
28 => "00101011",
29 => "00101100",
30 => "00101110",
31 => "00101111",
32 => "00110001",
33 => "00110010",
34 => "00110011",
35 => "00110101",
36 => "00110110",
37 => "00111000",
38 => "00111001",
39 => "00111010",
40 => "00111100",
41 => "00111101",
42 => "00111111",
43 => "01000000",
44 => "01000001",
45 => "01000011",
46 => "01000100",
47 => "01000101",
48 => "01000111",
49 => "01001000",
50 => "01001001",
51 => "01001010",
52 => "01001100",
53 => "01001101",
54 => "01001110",
55 => "01001111",
56 => "01010001",
57 => "01010010",
58 => "01010011",
59 => "01010100",
60 => "01010101",
61 => "01010110",
62 => "01011000",
63 => "01011001",
64 => "01011010",
65 => "01011011",
66 => "01011100",
67 => "01011101",
68 => "01011110",
69 => "01011111",
70 => "01100000",
71 => "01100001",
72 => "01100010",
73 => "01100011",
74 => "01100100",
75 => "01100101",
76 => "01100110",
77 => "01100111",
78 => "01101000",
79 => "01101001",
80 => "01101010",
81 => "01101010",
82 => "01101011",
83 => "01101100",
84 => "01101101",
85 => "01101110",
86 => "01101111",
87 => "01101111",
88 => "01110000",
89 => "01110001",
90 => "01110001",
91 => "01110010",
92 => "01110011",
93 => "01110011",
94 => "01110100",
95 => "01110101",
96 => "01110101",
97 => "01110110",
98 => "01110110",
99 => "01110111",
100 => "01111000",
101 => "01111000",
102 => "01111001",
103 => "01111001",
104 => "01111010",
105 => "01111010",
106 => "01111010",
107 => "01111011",
108 => "01111011",
109 => "01111100",
110 => "01111100",
111 => "01111100",
112 => "01111101",
113 => "01111101",
114 => "01111101",
115 => "01111101",
116 => "01111110",
117 => "01111110",
118 => "01111110",
119 => "01111110",
120 => "01111110",
121 => "01111111",
122 => "01111111",
123 => "01111111",
124 => "01111111",
125 => "01111111",
126 => "01111111",
127 => "01111111"
);
- 신호 current_state, next_state : 상태;
하는 BEGIN
n_reset <= Pb1a;
프로세스 (CLK, n_reset)
시작
- dac_cnt <= conv_integer (서명 (dac_cnt_1));
- 만약 n_reset = '0 '다음
- dac_cnt <= 0; - <= (기타 => '0 ');--:= 0;
- current_state <= 질문;- CONV_integer (서명 (dac_cnt (7 downto 0))) <= CONV_integer (서명 (dac_cnt (7 downto 0))) '1 ';--:= dac_cnt 1;
- elsif의 rising_edge은 (CLK) 다음
그때면 rising_edge은 (CLK)
만약 n_reset = '0 '다음
dac_cnt <= 0; - (기타 => '0 '); - 0; - <= (기타 => '0 ');--:= 0;
current_state <= 질문;
다른 사람
사건 current_state가
언제 질문 => - vec1 <= std_logic_vector () conv_unsigned ((int1, 8))
dac_cnt <= dac_cnt 1; - <이 = dac_cnt '1 '; - <= dac_cnt '1';
- dac_cnt <= conv_integer (서명 (dac_cnt_1));
sine_output <= "10000000" LUT (dac_cnt);
- sine_output <= "10000000" LUT (CONV_integer (서명 (dac_cnt (7 downto 0))));
- cnt2 <= cnt2 '1 ';
- 만약 MSB가 dac_cnt의 = '1 '다음
만약 dac_cnt = 127 다음
질문을 current_state <=;
종료면;
언제 질문 =>
dac_cnt <= dac_cnt - 1;
- dac_cnt <= dac_cnt - '1 ';--<= dac_cnt 장치 '1'; -
sine_output <= "10000000" LUT (dac_cnt);
- cnt2 <= cnt2 - '1 ';
만약 dac_cnt = 0 다음
current_state <= 질문;
종료면;
3 분기 때 =>
dac_cnt <= dac_cnt 1; - <이 = dac_cnt '1 '; -
sine_output <= "10000000"- LUT (dac_cnt);
- cnt2 <= cnt2 '1 ';
- 만약 MSB가 dac_cnt의 = '0 '다음
만약 dac_cnt = 127 다음
current_state <= 질문;
종료면;
언제 질문 4 =>
dac_cnt <이 = dac_cnt - 1; - <= dac_cnt '1 '; -
sine_output <= "10000000"- LUT (dac_cnt);
- cnt2 <= cnt2 - '1 ';
만약 dac_cnt = 0 다음
current_state <= 질문;
종료면;
> 할 때 다른 사람 =
current_state <= 질문;
엔드 케이스;
종료면;
종료면;
최종 처리;
엔드 아키텍처를 주도;하나 가득 생성 오전 노력 분기 다시로 물결을 재생할 수 있습니다.
나는 위선적인 말투의 그림 밖으로 잘못 무슨.
도와 주시기 바랍니다.
도서관의 IEEE;
를 사용 ieee.std_logic_1164.all;
를 사용 ieee.std_logic_arith.all;
를 사용 ieee.std_logic_unsigned.all;
를 사용 ieee.numeric_std.all;
-
- 사용 work.SinglePortRAM_pack.all;
엔티티 test5 IS
포트 (
CLK : 인디애나 std_logic;
- Pb0a : 인디애나 std_logic;
Pb1a : 인디애나 std_logic;
- led0a : 출력 std_logic;
- led1a : 아웃 std_logic;
- led2a : 아웃 std_logic;
- led3a : 아웃 std_logic;
- led4a : 아웃 std_logic;
- led5a : 아웃 std_logic;
- led6a : 아웃 std_logic;
- led7a : 아웃 std_logic;
- dac_output : 아웃 std_logic_vector (7 downto 0)
sine_output : 아웃 std_logic_vector (7 downto 0)
);
끝 test5;아키텍처 test5의 힘도
- 유형 바이트)입니다 std_logic_vector (7 downto 0;
- 신호 cnt : std_logic_vector (2 downto 0) : = "001";
- 신호 dac_cnt_1 : std_logic_vector (7 downto 0) : = "00000000";
- 신호 edge_sig : std_logic_vector (1 downto 0) : = (기타 => '1 ');
- 신호 cnt2 : std_logic_vector (23 downto 0) : = (기타 => '0 ');
- 신호 Level1, level2, level3 : std_logic;
신호 n_reset : std_logic;
- 신호 LUT : 배열 (0 256) 바이트의;
- 유형 국가입니다 : (질문 1, 질문, 질문 4 분기,); - std_logic_vector의 (7 downto 0);
유형 STATE_TYPE은)입니다 (질문, 질문, 질문, 질문;
신호가 current_state : STATE_TYPE을;
입력 테이블)입니다 배열 (0-127) 검색 std_logic_vector (7 downto 0;
신호 dac_cnt : 자연 범위 0-256; - 정수
신호 LUT : 테이블 : =
(
0 => "00000000",
1 => "00000010",
2 => "00000011",
3 => "00000101",
4 => "00000110",
5 => "00001000",
6 => "00001001",
7 => "00001011",
8 => "00001100",
9 => "00001110",
10 => "00010000",
11 => "00010001",
12 => "00010011",
13 => "00010100",
14 => "00010110",
15 => "00010111",
16 => "00011001",
17 => "00011010",
18 => "00011100",
19 => "00011101",
20 => "00011111",
21 => "00100000",
22 => "00100010",
23 => "00100011",
24 => "00100101",
25 => "00100110",
26 => "00101000",
27 => "00101001",
28 => "00101011",
29 => "00101100",
30 => "00101110",
31 => "00101111",
32 => "00110001",
33 => "00110010",
34 => "00110011",
35 => "00110101",
36 => "00110110",
37 => "00111000",
38 => "00111001",
39 => "00111010",
40 => "00111100",
41 => "00111101",
42 => "00111111",
43 => "01000000",
44 => "01000001",
45 => "01000011",
46 => "01000100",
47 => "01000101",
48 => "01000111",
49 => "01001000",
50 => "01001001",
51 => "01001010",
52 => "01001100",
53 => "01001101",
54 => "01001110",
55 => "01001111",
56 => "01010001",
57 => "01010010",
58 => "01010011",
59 => "01010100",
60 => "01010101",
61 => "01010110",
62 => "01011000",
63 => "01011001",
64 => "01011010",
65 => "01011011",
66 => "01011100",
67 => "01011101",
68 => "01011110",
69 => "01011111",
70 => "01100000",
71 => "01100001",
72 => "01100010",
73 => "01100011",
74 => "01100100",
75 => "01100101",
76 => "01100110",
77 => "01100111",
78 => "01101000",
79 => "01101001",
80 => "01101010",
81 => "01101010",
82 => "01101011",
83 => "01101100",
84 => "01101101",
85 => "01101110",
86 => "01101111",
87 => "01101111",
88 => "01110000",
89 => "01110001",
90 => "01110001",
91 => "01110010",
92 => "01110011",
93 => "01110011",
94 => "01110100",
95 => "01110101",
96 => "01110101",
97 => "01110110",
98 => "01110110",
99 => "01110111",
100 => "01111000",
101 => "01111000",
102 => "01111001",
103 => "01111001",
104 => "01111010",
105 => "01111010",
106 => "01111010",
107 => "01111011",
108 => "01111011",
109 => "01111100",
110 => "01111100",
111 => "01111100",
112 => "01111101",
113 => "01111101",
114 => "01111101",
115 => "01111101",
116 => "01111110",
117 => "01111110",
118 => "01111110",
119 => "01111110",
120 => "01111110",
121 => "01111111",
122 => "01111111",
123 => "01111111",
124 => "01111111",
125 => "01111111",
126 => "01111111",
127 => "01111111"
);
- 신호 current_state, next_state : 상태;
하는 BEGIN
n_reset <= Pb1a;
프로세스 (CLK, n_reset)
시작
- dac_cnt <= conv_integer (서명 (dac_cnt_1));
- 만약 n_reset = '0 '다음
- dac_cnt <= 0; - <= (기타 => '0 ');--:= 0;
- current_state <= 질문;- CONV_integer (서명 (dac_cnt (7 downto 0))) <= CONV_integer (서명 (dac_cnt (7 downto 0))) '1 ';--:= dac_cnt 1;
- elsif의 rising_edge은 (CLK) 다음
그때면 rising_edge은 (CLK)
만약 n_reset = '0 '다음
dac_cnt <= 0; - (기타 => '0 '); - 0; - <= (기타 => '0 ');--:= 0;
current_state <= 질문;
다른 사람
사건 current_state가
언제 질문 => - vec1 <= std_logic_vector () conv_unsigned ((int1, 8))
dac_cnt <= dac_cnt 1; - <이 = dac_cnt '1 '; - <= dac_cnt '1';
- dac_cnt <= conv_integer (서명 (dac_cnt_1));
sine_output <= "10000000" LUT (dac_cnt);
- sine_output <= "10000000" LUT (CONV_integer (서명 (dac_cnt (7 downto 0))));
- cnt2 <= cnt2 '1 ';
- 만약 MSB가 dac_cnt의 = '1 '다음
만약 dac_cnt = 127 다음
질문을 current_state <=;
종료면;
언제 질문 =>
dac_cnt <= dac_cnt - 1;
- dac_cnt <= dac_cnt - '1 ';--<= dac_cnt 장치 '1'; -
sine_output <= "10000000" LUT (dac_cnt);
- cnt2 <= cnt2 - '1 ';
만약 dac_cnt = 0 다음
current_state <= 질문;
종료면;
3 분기 때 =>
dac_cnt <= dac_cnt 1; - <이 = dac_cnt '1 '; -
sine_output <= "10000000"- LUT (dac_cnt);
- cnt2 <= cnt2 '1 ';
- 만약 MSB가 dac_cnt의 = '0 '다음
만약 dac_cnt = 127 다음
current_state <= 질문;
종료면;
언제 질문 4 =>
dac_cnt <이 = dac_cnt - 1; - <= dac_cnt '1 '; -
sine_output <= "10000000"- LUT (dac_cnt);
- cnt2 <= cnt2 - '1 ';
만약 dac_cnt = 0 다음
current_state <= 질문;
종료면;
> 할 때 다른 사람 =
current_state <= 질문;
엔드 케이스;
종료면;
종료면;
최종 처리;
엔드 아키텍처를 주도;하나 가득 생성 오전 노력 분기 다시로 물결을 재생할 수 있습니다.